Esta página detalla cómo instalar los paquetes de Atom que pueden ayudar a mejorar el trabajo con código VHDL.

Paquetes de la Comunidad editar

Resaltado de código editar

language-vhdl: Soporte para lenguaje VHDL en Atom.

Estilo de código editar

linter-vhdl: Pequeño linter para Atom para VHDL. Requiere externamente la instalación adicional de un compilador VHDL como GHDL.